CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL UART 串口

搜索资源列表

  1. VHDL语言的UART串行接口芯片程序

    2下载:
  2. VHDL语言的UART串行接口芯片程序
  3. 所属分类:VHDL编程

    • 发布日期:2009-07-09
    • 文件大小:17118
    • 提供者:redskier
  1. uart.rar

    0下载:
  2. VHDL语言编写的全功能串口模块(包含DTR,RTS等管脚),在CPLD器件上测试通过,VHDL language, full-featured serial modules (including DTR, RTS pin, etc.), in the CPLD device test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:223864
    • 提供者:李特威
  1. uart.zip

    1下载:
  2. uart串口通信程序,用状态机实现的;测试通过,并且实践过,uart
  3. 所属分类:VHDL编程

    • 发布日期:2013-09-04
    • 文件大小:787591
    • 提供者:dasfsaf
  1. uart

    0下载:
  2. 串口通讯 PC发送FPGA接受后回传 verilog语言-uart verilog
  3. 所属分类:Com Port

    • 发布日期:2017-03-24
    • 文件大小:2901
    • 提供者:赵云
  1. UART

    0下载:
  2. 利用FPGA接受232芯片的串口数据,可以与PC进行串口通信-FPGA chip using the serial data received 232, serial communication with PC
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1189778
    • 提供者:杨然
  1. uart

    0下载:
  2. 采用VHDL语言编写的串口驱动程序,已调试通过,能够实现同PC机的数据传输,可读性好,可移植性好-VHDL language using the serial driver has been debugged, to achieve the same PC, the data transmission, readable and portable
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:526639
    • 提供者:王玉强
  1. UART

    5下载:
  2. 本人自己编写的FPGA异步串口通信模块(UART),基于QuartusII环境,verilog语言编写,包含仿真和全部程序及说明,验证通过,具有很好的稳定性和参考价值!-I have written of the FPGA asynchronous serial communication module (UART), based on QuartusII the environment, verilog language, including simulation and all the pr
  3. 所属分类:Com Port

    • 发布日期:2017-04-09
    • 文件大小:2269242
    • 提供者:Kerwin
  1. 标准的串口通讯设计VHDL

    0下载:
  2. 标准的异步串口通讯设计程序——基于VHDL编程-communication design programme of standard asynchronous serial port base on VHDL programme
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:10885
    • 提供者:于飞
  1. UART

    1下载:
  2. 串口实验,很好用,我还有verilog HDL VHDL CPLD EPM1270 源代码-Serial experiments, very good, and I still have the source code verilog HDLVHDL CPLDEPM1270
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:339331
    • 提供者:韩思贤
  1. uart

    0下载:
  2. 串口通讯rs232,时钟频率为40Mhz,波特率为19200,没有奇偶校验,在xilinx XC3S200A板子上验证过.-Serial communication rs232, clock frequency of 40Mhz, the baud rate to 19200, no parity, in the board on xilinx XC3S200A verified.
  3. 所属分类:Com Port

    • 发布日期:2017-03-29
    • 文件大小:6709
    • 提供者:zhangjiansen
  1. uart

    0下载:
  2. FPGA的串口模块,实现FPGA与PC机的串口通讯。-FPGA serial modules, FPGA implementation with the PC-Serial communication.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:471579
    • 提供者:王小
  1. UART.ZIP

    0下载:
  2. 一个完整的用cpld实现串口功能的代码。经过验证,不经过任何修改便可使用。-serial port realized by vhdl.It has been tested and can be used with any change.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-23
    • 文件大小:56289
    • 提供者:wangyilong
  1. 87361001Uart2

    0下载:
  2. VHDL语言编写的UART串口通讯,2400Hz的波特率时钟-VHDL language UART serial communication, 2400Hz clock of baud rate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:43732
    • 提供者:火箭强
  1. UART2_vhdl

    0下载:
  2. 这是VHDL语言的uart串口驱动 感觉很难写的 但是这个可以移植的 比较好-This is the VHDL language serial uart driver feel it is very difficult but this can be written by transplantation is better huh
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:3160
    • 提供者:hanbin
  1. uart

    0下载:
  2. 用ALTERA的芯片做的多串口代码,内部做了3个通用串口,适合51 ARM等CPU,有完整的ALTERA工程和仿真波形-uart FOR ALTERA
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-07
    • 文件大小:1571639
    • 提供者:郭强
  1. UART

    0下载:
  2. 用FPGA开发的串口通信的程序,代码是用verilog编写的,希望对大家有用!-Serial communication with the FPGA development process, the code is written in verilog and hope for all of us!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:267617
    • 提供者:郭富民
  1. uart

    0下载:
  2. UART串口的VHDL源程序,希望对大家有用-UART serial port of the VHDL source code, we want to be useful
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:17645
    • 提供者:贾明
  1. FPGA-UART

    0下载:
  2. 该资料是实现VHDL的串口通信(UART),RS232接口协议,-VHDL implementation of serial communication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2088292
    • 提供者:lp
  1. uart

    0下载:
  2. VHDL实现串口转换的代码,串行通信的发送器有五个状态:--1.X_IDLE(空闲)状态 : 当UART被复位后,状态机将立刻进入这一状态,在这个状态下, -- 状态机一直等待发送命令XMIT_CMD,当接收到发送命令后,状态机进入X_START状态,准备发送起始位信号 --2.X_START状态 : 在这个状态下,UART发送一个位时间宽度的逻辑'0',信号至TXD,即 -- 起始位,紧接着状态机进入X_SHIFT状态,发一位数据 --3.X_WAIT状态 : 当状态机处于这一个状态时
  3. 所属分类:VHDL/FPGA/Verilog

  1. 串口电压表VHDL

    1下载:
  2. 使用 AD 转换器 TLV1570,将 0-2.5V 的电压转换成 10 位二进制结果,再将 10 位二进制结果转换成 4 位 BCD 码 (整数部分 1 位,小数部分 3 位),并通过 UART 串口将数据送上位机 (电脑)进制显示(Serial port voltmeter)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-12-16
    • 文件大小:4210688
    • 提供者:LB明
« 12 3 4 5 6 7 »
搜珍网 www.dssz.com